Сегодня наконец дошли руки до пробы пера с языком Zig. Попробовал собрать hello world для голого RISC-V (PicoRV32). Пол дня гугления, курения мануалов и копипасты вылились в три файла: https://gist.github.com/punzik/bda5ee814b39e876e14405b63d9770d6
Теперь на этой рыбе можно писать полезный код, чем я позже и займусь.
Теперь на этой рыбе можно писать полезный код, чем я позже и займусь.
Gist
Minimal bare-metal PicoRV32 Zig example
Minimal bare-metal PicoRV32 Zig example. GitHub Gist: instantly share code, notes, and snippets.
Сегодня узнал, что GHDL поддерживает (не полностью, правда) PSL - язык описания проверок и ограничений (Property Specification Language) для HDL языков. По сути это SVA, не привязанный к SV (но в случае с GHDL - привязанный к VHDL).
Некоторое время назад я написал статью про формальную верификацию с открытыми тулами, и решил переписать тот простой пример на VHDL+PSL. Пример запускается в SymbiYosys и правильно отрабатывает. Не пинайте строго, на VHDL последний раз писал лет 25 назад, а на PSL никогда.
В общем, тема интересная, тем более PSL в отличие от SVA уже поддерживается опенсорсом, и поддержка его активно пилится в GHDL.
Однако, переходить на VHDL я пока не готов :)
Некоторое время назад я написал статью про формальную верификацию с открытыми тулами, и решил переписать тот простой пример на VHDL+PSL. Пример запускается в SymbiYosys и правильно отрабатывает. Не пинайте строго, на VHDL последний раз писал лет 25 назад, а на PSL никогда.
В общем, тема интересная, тем более PSL в отличие от SVA уже поддерживается опенсорсом, и поддержка его активно пилится в GHDL.
Однако, переходить на VHDL я пока не готов :)
GitHub
GitHub - ghdl/ghdl: VHDL 2008/93/87 simulator
VHDL 2008/93/87 simulator. Contribute to ghdl/ghdl development by creating an account on GitHub.
Несколько дней назад Meta опубликовала исходники нейротула для преобразования научных статей из PDF в Markdown с распознаванием математических формул и выражений в формат LaTeX. Тул называется Nougat (Neural Optical Understanding for Academic Documents).
Как понятно из названия, это нейросеть, которая работает как OCR, т.е. распознаёт текст на изображении, а не извлекает его из документа. Т.е., она делает текст не только из хороших доков, но и из довольно кривых сканов (к сожалению, не всегда получается).
Можно попробовать онлайн, но обычно там очередь на несколько минут.
В следующем сообщении будет три примера, которые я сделал локально на своём компе. Установка программы очень простая, модель она подтягивает сама при первом запуске. Главное, чтобы в компе была какая-никакая Nvidia и библиотека CUDA, т.к. на CPU работает очень медленно (я не дождался завершения).
Как понятно из названия, это нейросеть, которая работает как OCR, т.е. распознаёт текст на изображении, а не извлекает его из документа. Т.е., она делает текст не только из хороших доков, но и из довольно кривых сканов (к сожалению, не всегда получается).
Можно попробовать онлайн, но обычно там очередь на несколько минут.
В следующем сообщении будет три примера, которые я сделал локально на своём компе. Установка программы очень простая, модель она подтягивает сама при первом запуске. Главное, чтобы в компе была какая-никакая Nvidia и библиотека CUDA, т.к. на CPU работает очень медленно (я не дождался завершения).
GitHub
GitHub - facebookresearch/nougat: Implementation of Nougat Neural Optical Understanding for Academic Documents
Implementation of Nougat Neural Optical Understanding for Academic Documents - facebookresearch/nougat
Недавно в GTKWave закоммитили интересный пуллреквест. Он выносит часть функционала в библиотеку libgtkwave и добавляет начальную поддержку плагинов. Т.е. теперь в GTKWave будут не только фильтры, но и полноценный доступ к внутреннему API программы. В качестве примера автор сделал небольшой плагин с консолью на Питоне. Посмотрите, там видосик есть.
GitHub
GitHub - gtkwave/gtkwave: GTKWave is a fully featured GTK+ based wave viewer for Unix and Win32 which reads LXT, LXT2, VZT, FST…
GTKWave is a fully featured GTK+ based wave viewer for Unix and Win32 which reads LXT, LXT2, VZT, FST, and GHW files as well as standard Verilog VCD/EVCD files and allows their viewing. - gtkwave/g...
На днях вышел новый релиз симулятора электронных схем Qucs-S 2.1.0.
Вот пара новых фич:
- Добавлено моделирование в режиме тюнера (см. скриншот), который позволяет подстраивать номиналы компонентов ползунками и смотреть результат на графиках. Аналогичный инструмент имеется, например, в AWR;
- Для Ngspice добавлена поддержка компонентов, задаваемых в частотной области при помощи файлов s2p (требуется Ngspice-41).
Подробности смотрите по ссылке выше.
Вот пара новых фич:
- Добавлено моделирование в режиме тюнера (см. скриншот), который позволяет подстраивать номиналы компонентов ползунками и смотреть результат на графиках. Аналогичный инструмент имеется, например, в AWR;
- Для Ngspice добавлена поддержка компонентов, задаваемых в частотной области при помощи файлов s2p (требуется Ngspice-41).
Подробности смотрите по ссылке выше.
Чтоб оживить канал, напомню о своей статейке про формальную верификацию открытыми тулами.
FPGA-Systems.ru
Формальная верификация с SymbiYosys
В статье кратко рассказано, что такое формальная верификация и для чего она нужна, а так же приведен простой пример верификации маленько
Forwarded from FPGA-Systems Events (Michael Korobkov)
===
Народное творчество - первый журнал о программируемой логике
доступен к скачиванию. На странице журнала появилась заветная кнопка "Скачать | Download"
===
Пожалуйста, не распространяйте журнал как pdf, кидайте ссылку на страницу журнала https://fpga-systems.ru/fsm. Очень важна статистика скачиваний, надеюсь вы меня понимаете 😊
===
Народное творчество - первый журнал о программируемой логике
FPGA-Systems Magazine :: FSM :: № ALFA
доступен к скачиванию. На странице журнала появилась заветная кнопка "Скачать | Download"
===
Пожалуйста, не распространяйте журнал как pdf, кидайте ссылку на страницу журнала https://fpga-systems.ru/fsm. Очень важна статистика скачиваний, надеюсь вы меня понимаете 😊
===
Если кто-то потерял меня в чате, я ушел на цифровой детокс. На недельку, а там посмотрим. Если что, пишите сюда :)
Рубрика "А что, так можно было?".
У верилятора есть опция —unused-regexp, которой можно задать шаблон имени неиспользуемых сигналов. По-умолчанию это *unused*. Т.е. если у вас в коде есть неиспользуемый сигнал с именем, включающем unused, то верилятор на него ругаться не будет, и не нужно будет вставлять lint_off UNUSEDSIGNAL.
У верилятора есть опция —unused-regexp, которой можно задать шаблон имени неиспользуемых сигналов. По-умолчанию это *unused*. Т.е. если у вас в коде есть неиспользуемый сигнал с именем, включающем unused, то верилятор на него ругаться не будет, и не нужно будет вставлять lint_off UNUSEDSIGNAL.
В Yosys есть интересная фича, которой, похоже, нет в других синтезаторах - возможность вызова функций DPI для установки параметров и инициализации регистров.
Для этого достаточно подключить библиотеку .so командой plugin:
А затем импортировать функцию в код на SV и вызвать:
Т.к. библиотека подгружается один раз при старте yosys, можно использовать статические и глобальные переменные для сохранения контекста.
Фича может быть весьма полезной. Например, в ЦОСе часто нужно предвычислять всякие коэффициенты. Использовать для этого генерируемые внешними скриптами таблицы не очень прикольно, т.к. теряется возможность параметризации модуля. Рассчитывать силами SV тоже не сильно здорово, потому что обычно это работает медленно и синтезатор поддерживает далеко не все возможности языка (в Yosys, например, очень сложно полноценно использовать real - можно вычислять выражения с плавающей точкой, но нельзя создавать переменные).
А через DPI можно вызвать не только Си-функции, но и например Python (sic!), или мою любимую Scheme. Они достаточно просто эмбеддятся в Си.
Есть и ограничения:
1. Поддерживаются только типы integer, real, shortreal и chandle. Жаль, что нельзя передавать строки, но если очень хочется, то можно воспользоваться костылём - передавать до 8 символов через аргумент типа chandle. Это указатель, и на 64-разрядных машинах его длина 8 байт.
2. В качестве аргументов подходит не всё. Например, можно передать итератор цикла во время инициализации памяти (
3. Нельзя вызвать функцию, которая возвращает void, yosys почему-то путает этот вызов с вызовом таска и ругается, что такого таска нет. Если всё же нужно вызвать такую функцию, то нужно вызвать её с присвоением возврата чему нибудь - переменной в initial, или неиспользуемому параметру. Запостил issue по этому поводу (Под номером 4096 😊).
В качестве примера написал небольшой тестовый код.
Для этого достаточно подключить библиотеку .so командой plugin:
plugin -i my_lib.so
А затем импортировать функцию в код на SV и вызвать:
import "DPI-C" function integer my_func (integer);
localparam PARAM1 = my_func(PARAM0);
Т.к. библиотека подгружается один раз при старте yosys, можно использовать статические и глобальные переменные для сохранения контекста.
Фича может быть весьма полезной. Например, в ЦОСе часто нужно предвычислять всякие коэффициенты. Использовать для этого генерируемые внешними скриптами таблицы не очень прикольно, т.к. теряется возможность параметризации модуля. Рассчитывать силами SV тоже не сильно здорово, потому что обычно это работает медленно и синтезатор поддерживает далеко не все возможности языка (в Yosys, например, очень сложно полноценно использовать real - можно вычислять выражения с плавающей точкой, но нельзя создавать переменные).
А через DPI можно вызвать не только Си-функции, но и например Python (sic!), или мою любимую Scheme. Они достаточно просто эмбеддятся в Си.
Есть и ограничения:
1. Поддерживаются только типы integer, real, shortreal и chandle. Жаль, что нельзя передавать строки, но если очень хочется, то можно воспользоваться костылём - передавать до 8 символов через аргумент типа chandle. Это указатель, и на 64-разрядных машинах его длина 8 байт.
2. В качестве аргументов подходит не всё. Например, можно передать итератор цикла во время инициализации памяти (
mem[n] = init_func(n)
), но нельзя передать саму инициализируемую переменную (some_func(mem[n])
), ругается на нестатический аргумент.3. Нельзя вызвать функцию, которая возвращает void, yosys почему-то путает этот вызов с вызовом таска и ругается, что такого таска нет. Если всё же нужно вызвать такую функцию, то нужно вызвать её с присвоением возврата чему нибудь - переменной в initial, или неиспользуемому параметру. Запостил issue по этому поводу (Под номером 4096 😊).
В качестве примера написал небольшой тестовый код.
Gist
Yosys DPI
Yosys DPI. GitHub Gist: instantly share code, notes, and snippets.
По поводу соблюдения стандартов. Не первый раз замечаю, что iverilog строже следует стандартам, чем другие симуляторы. Вот ещё один пример - присвоение значения enum'у.
Вот такой код не проходит компиляцию в iverilog, тогда как verilator и modelsim/questa не выдают ни ошибок ни предупреждений:
Ошибка состоит в том, что переменной
Есть два очевидных пути исправления:
1. Использовать
2. Явно привести тип тернарного выражения к
Менее очевидное решение - использовать тип
А вот с верилятором "не всё так однозначно" - у него же в принципе нет иксов, по этому стандарт он как бы и не нарушает вовсе :)
Вот такой код не проходит компиляцию в iverilog, тогда как verilator и modelsim/questa не выдают ни ошибок ни предупреждений:
typedef enum {
ST_ONE,
ST_ZERO
} state_t;
state_t state;
logic a;
always_comb
state = a ? ST_ONE : ST_ZERO;
Ошибка состоит в том, что переменной
state
потенциально может быть присвоено значение X, потому что тернарный оператор "пропускает" иксы из условия на выход (такое поведение называется X-pessimism). Но иксы не являются допустимым значением для типа state_t
. Согласно стандарту (раздел 6.19.3) такая ситуация является ошибкой.Есть два очевидных пути исправления:
1. Использовать
if/else
, т.к. это X-оптимистичный оператор2. Явно привести тип тернарного выражения к
state_t
Менее очевидное решение - использовать тип
bit
для сигнала a
. Этот тип не может принимать неопределенного значения, по этому и нарушений при присвоении перечислению не будет.А вот с верилятором "не всё так однозначно" - у него же в принципе нет иксов, по этому стандарт он как бы и не нарушает вовсе :)
GitHub
GitHub - steveicarus/iverilog: Icarus Verilog
Icarus Verilog. Contribute to steveicarus/iverilog development by creating an account on GitHub.
Оказывается несколько лет назад кто-то даже открыл issue на этот счёт и попутно предложил использовать case equality (===). Это, наверное, самое правильное решение, т.к. по стандарту этот оператор всегда возвращает 0 или 1, никаких иксов.
GitHub
conditional operator with enum's require explicit cast · Issue #280 · steveicarus/iverilog
The following code does not compile in iverilog. I believe this is valid systemVerilog code. At least one commercial tool accepts this happily. iverilog seems to be unhappy about the datatype and w...
Forwarded from Академия программирования электронных устройств
Друзья, уже всего через несколько дней - 11 марта, состоится запуск курсов!
✅«Практические навыки работы инженер-радиоэлектронщика» (схемотехника и трассировка печатных плат)
✅«Программирование микроконтроллеров»
✅«Основы проектирования устройств на базе ПЛИС»
✅«Практические навыки трассировки печатных плат»
✅«Программирование микроконтроллеров с использованием ОСРВ FreeRTOS»
✅«ПЛИС внутрисхемная отладка и оптимизация»
Сейчас самое время записаться на курс, т.к. набор уже почти завершен!
Чтобы узнать цену и записаться на курс, оставляйте заявку на сайте: записаться на обучение
✅«Практические навыки работы инженер-радиоэлектронщика» (схемотехника и трассировка печатных плат)
✅«Программирование микроконтроллеров»
✅«Основы проектирования устройств на базе ПЛИС»
✅«Практические навыки трассировки печатных плат»
✅«Программирование микроконтроллеров с использованием ОСРВ FreeRTOS»
✅«ПЛИС внутрисхемная отладка и оптимизация»
Сейчас самое время записаться на курс, т.к. набор уже почти завершен!
Чтобы узнать цену и записаться на курс, оставляйте заявку на сайте: записаться на обучение